Research Article Archive Versions 1 Vol 4 (1) : 21040103 2021
Download
Recent Progress of the Integrated Circuit Industry in China ― Overview of the Special Equipment
: 2021 - 02 - 04
: 2021 - 03 - 23
: 2021 - 03 - 31
910 33 0
Abstract & Keywords
Abstract: China's IC industry has been flourishing in recent years, huge market demand together with government investments are the major driving forces for this development. The status and development momentum of the Chinese IC industry also attracted wide interest and attention of international counterparts. A group of domestic IC experts are invited by the JoMM to write a series of articles about China's IC industry, including the history, current status, development, and related government policies. Information in these articles is all from public data from recent years. The purpose of these articles is to enhance mutual understanding between the Chinese domestic IC industry and international IC ecosystem.
Keywords: 
1.   Equipment Market Analysis
Semiconductor equipment is in the upstream of the semiconductor industry chain, and its market size fluctuates with the technological development and market demand of the downstream semiconductor industry. According to SEMI, the global semiconductor equipment market has reached a record of 68.9 billion U.S. dollars in 2020, an increase of 16% year-on-year, and will reach 71.9 billion U.S. dollars in 2021, an increase of 4.4% year-on-year. It will keep the momentum of growth in 2022, and the market will reach 76.1 billion U.S. dollars, an increase of 5.8% year-on-year.
China's semiconductor equipment’s share in the global market has been increasing year by year as in Figure 1. SEMI predicted that the semiconductor equipment market in mainland China would reach 18.1 billion U.S. dollars in 2020, a year-on-year increase of 34.6%, making it the world's largest semiconductor equipment market. After China's intensive capital expenditures in Fabs in 2020, SEMI predicts that the semiconductor equipment market in mainland China will decline slightly in 2021, with a market size of 16.8 billion U.S. dollars, a year-on-year decrease of 7%.


Figure 1.   Market size of semiconductor equipment in mainland China.
In 2019, the sales of domestic semiconductor equipment was 16.182 billion yuan. In 2019, the semiconductor equipment market in mainland China was 13.45 billion U.S. dollars, with a localization rate of about 17% as in Figure 2, and there is a large domestic substitute space. As the United States continues to strengthen its technology and equipment blockade, the pace of domestic substitution of semiconductor equipment is accelerating. With the strong support of policies and funds, domestic equipment companies have continuously made breakthroughs in many areas such as etching, film deposition, and testing.


Figure 2.   Domestic production market share of semiconductor equipment in mainland China (2019).
In 2019, the top ten companies in China's semiconductor equipment sales revenue completed sales revenue of 14.343 billion yuan, an increase of 51.1% compared with the sales revenue of the top ten companies in China's semiconductor equipment sales in 2018. In 2019, the sales revenue of the top ten semiconductor equipment companies accounted for 88.6% of the total sales revenue of the 47 semiconductor equipment manufacturers. In 2019, Zhejiang Jingsheng Mechanical & Electrical Co., Ltd. (JSG) ranked first in the sales revenue share of Chinese semiconductor equipment manufacturers in 2019, whose semiconductor equipment sales revenue reached 2.886 billion yuan in 2019, the second is NAURA Technology Group Co., Ltd. (NAURA), with sales revenue of 2.842 billion yuan as in Table 1. However, in terms of the sales revenue of global semiconductor equipment companies, the scale of enterprises in China's semiconductor equipment industry is still at a relatively low level, and the demand for industry equipment is mostly dependent on foreign companies.
Table 1.   Top 10 sales revenue of semiconductor equipment manufacturers in mainland China (2019)


 
Domestic etching equipment, thin film deposition equipment and test equipment are expected to become pioneers in the localization of semiconductor equipment. Advanced Micro-Fabrication Equipment Inc. China (AMEC) and NAURA have made breakthroughs in the fields of CCP and ICP etching equipment respectively. Some products have been used in the advanced process production line for verification; NAURA has achieved breakthroughs from none in domestic high-end thin film preparation equipment in the PVD field, and the equipment covers 90 -14nm multiple processes, the equipment of Shenyang Piotech Inc. successfully entered the Yangtze Memory Technology Corp (YMTC) production line. The domestic market share of Beijing Huafeng Test & Control Technology Co., Ltd. (AccoTEST) analog test equipment has reached 60%, and the follow-up SOC project promotion may bring new growth space for the company.
2. The New Development of Domestic Semiconductor Equipment Companies
Semiconductor devices are mainly used in the wafer manufacturing and packaging and testing chain links, wafer manufacturing is the first major link in semiconductor manufacturing. The crystal growing furnace is the main semiconductor equipment for the production of monocrystalline silicon. The domestic crystal growing furnace companies mainly include JSG, Nanjing Advanced Semiconductor Technology, Co., Ltd (NAST), Dalian Linton NC machine Co., Ltd. (LINTON) and so on as in Table 2. After the monocrystalline silicon rod is completed, a series of processing is required to obtain the finished silicon wafer. The main semiconductor equipment involved is a slicing machine, a grinding machine, a wet etching machine, a cleaning machine, a polishing machine and a measuring machine. Domestic slicing machine manufacturers mainly include CETC Electronic Equipment Group Co. Ltd. (CETC), JSG, domestic grinding machine manufacturers mainly include JSG, domestic cleaning machine manufacturers mainly include Shengmei Semiconductor Equipment (Shanghai) Co., Ltd., NAURA, domestic CMP polishing machine manufacturers mainly include Tianjing Huahaiqingke, JSG, and domestic measuring machine manufacturers mainly include Skyverse Technology Co., Ltd. and Hangzhou Changchuan Technology Co., Ltd.. Generally speaking, only a few domestic manufacturers such as JSG have launched some silicon wafer processing equipment, and the market share is relatively low.
Table 2.   Major manufacturer of silicon wafer manufacturing equipment.


 
Wafer manufacturing is the most important and complex part in the semiconductor manufacturing process. The entire wafer manufacturing process includes hundreds of process flows involving dozens of semiconductor equipment as in Figure 3. The main process flow of wafer manufacturing includes heat treatment, lithography, etching, ion implantation, thin film deposition, chemical mechanical polishing and cleaning.


Figure 3.   Wafer fabrication process.
The semiconductor equipment used in the heat treatment process is an oxidation diffusion equipment, which is essentially a high-temperature furnace. Domestic manufacturers of oxidation diffusion equipment mainly include NAURA and Beijing Yitang Semiconductor Technology Co., Ltd.. Judging from the bidding situation of YMTC, oxidation diffusion equipment is still dominated by equipment from foreign manufacturers, the market share of domestic manufacturers like NAURA increased year by year. As of October 2020, in terms of the number of equipment, the proportion of NAURA's heat treatment equipment in the YMTC has exceeded 30%, and Yitang Semiconductor's proportion is 1% as in Figure 4.


Figure 4.   Winning ratio of heat treatment equipment of YMTC.
The most important semiconductor equipment in the lithography process is the stepper. At present, the main domestic company with the production capacity of stepper is Shanghai Micro Electronics Equipment (Group) Co., Ltd. (SMEE). In the field of integrated circuits, the products of SMEE mainly include stepper and wafer alignment and defect inspection equipment. The company's stepper products are SSX600 and SSB500 two series, of which the SSX600 series is mainly used in IC front-end lithography process, which can meet the lithography process requirements of 90nm, 110nm, 280nm critical layer and non-critical layer of IC front-end manufacturing; The SSB500 series lithography machine is mainly used in the advanced packaging process of IC as in Table 3.
Table 3.   SMEE lithography product parameters


 
In addition to the complete stepper produced by SMEE, there are also Beijing U-PRECISION TECH CO., LTD. (U-PRECISION) and Changchun National Extreme Precision Optics Co., Ltd. (CNEPO) engaged in the research and development and production of stepper parts in China. In 2016 the CNEPO developed China's first set of objective NA0.75 projection lithography systems for advanced IC manufacturing.
In addition to stepper, the semiconductor equipment used in the lithography process also requires track equipment. The domestic track equipment companies are KINGSEMI Co. Ltd. and Shengmei as in Figure 5.


Figure 5.   Domestic market of track equipment
The domestic etching equipment companies mainly include AMEC, NAURA, Yitang Semiconductor, and CETC as in Figure 6. Among them, AMEC, NAURA and Yitang Semiconductor are mainly engaged in the production of dry etching equipment. In addition to dry etching equipment, CETC also produces wet etching equipment. In addition to the above companies, Beijing Chuangshiweina Technology Co., LTD., KINGSEMI and Hualinkena also produce etching equipment.


Figure 6.   Domestic manufacturer of etch equipment.
Among domestic etching equipment manufacturers, AMEC has obvious advantages in CCP etching. In terms of logic integrated circuit manufacturing, AMEC's CCP etching equipment has entered the advanced process production line of an internationally renowned wafer foundry for the production of 7/5 nanometer devices. In terms of 3D NAND chip manufacturing, AMEC's CCP etching equipment technology can be applied to 64-layer mass production. At the same time, AMEC is developing 96-layer and more advanced etching equipment and processes according to the needs of memory manufacturers as in Table 4.
Table 4.   Etching application in each critical dimension of AMEC.


 
NAURA mainly covers ICP etching equipment. NAURA's ICP etching equipment is mainly used for silicon etching and metal material etching. The etching equipment of 28nm process and above has been industrialized. In terms of advanced manufacturing process, NAURA’s silicon etching equipment has broken through 14nm technology, which enters the Shanghai IC R&D Center (ICRD) as in Table 5.
Table 5.   Etching products of NAURA.


 
The main domestic ion implanter manufacturers are Kingstone Semiconductor Joint Stock Company Ltd. (Kingstone) and CETC. In December 2020, Kingstone announced that it intends to sell 3 12-inch integrated circuits ion implanter to Sino ICT Holdings Limited, making an important step in localization.
The domestic CVD equipment manufacturers in the integrated circuit field mainly include NAURA and Shenyang Piotech Inc. NAURA mainly produces APCVD equipment and LPCVD equipment, while Piotech mainly focuses on PECVD. According to the data from China International Bidding, Piotech has 3 PECVD equipment in the YMTC.
At present, ALD equipment has not been used on a large scale in the integrated circuit industry. The ALD equipment launched by NAURA can meet the requirements of 28-14nm FinFET and 3D NAND atomic layer deposition process and is currently in the verification stage. Piotech has independently developed atomic layer deposition equipment on the PECVD platform that has passed the production verification, which can be used in the fields of VLSI, OLED and advanced packaging.
Among the PVD equipment, the domestic PVD manufacturers is NAURA, which has made a few breakthroughs in sputtering source design technology, plasma generation and control technology, particle control technology, chamber design and simulation technology, software control technology, etc. They have achieved breakthroughs from none in advanced thin film preparation equipment in the field of domestic integrated circuits, and the equipment covers multiple manufacturing processes of 90-14nm. According to the company's official website, the company's PVD equipment has been designated as a 28nm process Baseline machine by a domestic advanced integrated circuit chip manufacturer, and has successfully entered the international supply chain system as in Table 6.
Table 6.   Progress of PVD technology in China.


 
The main domestic R&D and production companies of CMP equipment include Tianjing Huahaiqingke, which is currently the only domestic 12-inch series CMP equipment to be mass-produced. The semiconductor equipment suppliers sold have broken the monopoly of international manufacturers.
The domestic cleaning equipment field mainly includes Shengmei Semiconductor, NAURA, KINGSEMI, and Zhichun Technology. Among them, the main products of Shengmei Semiconductor are monolithic cleaning equipment in the field of integrated circuits; after NAURA acquired American semiconductor equipment manufacturer Akrion Systems LLC, the main products are monolithic and trough cleaning equipment; KINGSEMI products are mainly used in integrated circuits monolithic scrub areas of manufacturing; Zhichun Technology with the production of 8-12 inch advanced monocrystalline silicon wet cleaning equipment and trough wet cleaning equipment related technologies. In addition, according to the statistics of China International Bidding, among the more than 200 cleaning equipment purchased by chip and integrated circuit manufacturers YMTC, Hua Hong Semiconductor (Wuxi) Limited, and HLMC Phase II projects, the suppliers are ranked according to the number of bids, in order It is DNS, Shengmei Semiconductor, LAM, TEL and NAURA, whose shares are respectively 48%, 20.5%, 20%, 6% and 1%. Shengmei Semiconductor rank first among domestic cleaning equipment suppliers. It can be seen that Shengmei Semiconductor is a leading enterprise in the domestic semiconductor cleaning equipment industry, and its market development space is relatively large in the future. It is expected to break the monopoly of foreign companies and expand its market share as in Figure 7 and Table 7.


Figure 7.   Domestic market of cleaning equipment.
Table 7.   Chinese cleaning equipment product and technology analysis.


 
Semiconductor testing runs through the entire semiconductor industry chain. Corresponding tests are required for IC design, wafer manufacturing and final chip packaging to ensure product yield as in Figure 8.
The semiconductor equipment mainly used in the testing process is testing equipment, sorting equipment and probe station. The domestic testing equipment manufacturers mainly include AccoTEST and Hangzhou Changchuan Technology. They focus on analog test equipment and digital-analog hybrid test equipment. Among them, AccoTEST accounts for nearly 60% of the domestic analog test equipment market. In Chinese test equipment market, storage test equipment and SOC test equipment account for the main market share, with market shares of 43.8% and 23.5%, respectively as in Figure 9.


Figure 8.   Domestic testing equipment classification proportion.


Figure 9.   Domestic market of analog testing equipment.
The semiconductor probe station equipment industry has a high degree of concentration. The largest 2 probe station manufacturers in China are Sidea Semiconductor Equipment (Shenzhen) Co.,Ltd. (Sidea), and Hangzhou Changchuan Technology and CETC also have probe station production capabilities . The sorting equipment can be divided into three categories according to the structure. The domestic sorting equipment manufacturers mainly include Hangzhou Changchuan Technology.
The packaging equipment mainly includes cutting and thinning equipment, bonding equipment, sorting and testing equipment, etc. The domestic companies with packaging equipment manufacturing capabilities mainly include CETC and ACCURACY.
3.   Policies and Funding Overview
National policies strongly support the development of the semiconductor equipment industry, and the process of domestic substitution has been accelerated. Since the "02 Special Project", China has issued a series of policies to support the development of the semiconductor industry. China has successively promulgated the "National Integrated Circuit Industry Development Promotion Program", the "Notice on Several Policies to Further Encourage the Development of the Software Industry and the Integrated Circuit Industry" to provide support for the semiconductor industry.
In the case of urgent demand for semiconductor localization, the State Council issued the "Several Policies to Promote the High-quality Development of the Integrated Circuit Industry and Software Industry in the New Era" in August 2020 to support domestic semiconductor-related issues from multiple dimensions such as finance and taxation, financing, talent, and the market as in Table 8 and Table 9.
Table 8.   China’s existing fiscal and tax policies to promote the IC industry.


 
Table 9.   China’s newly added fiscal and tax policies to promote the IC industry.


 
The National Fund is deeply involved in the semiconductor equipment industry. In 2014, China established the National Integrated Circuit Industry Investment Fund. In 2018, the first phase of the National Integrated Circuit Industry Investment Fund was completed. The total investment amount was 138.7 billion yuan. There were 23 public investment companies and 29 undisclosed investment companies. There are about 70 effective investment projects, and the investment scope covers the upstream and downstream links of the integrated circuit industry. In the first-phase investment projects of the Big Fund, integrated circuit manufacturing accounted for 67%, IC design accounted for 17%, packaging and testing accounted for 10%, and equipment materials accounted for 6%.
The second phase of the Big Fund will continue to support the development of domestic semiconductor equipment. In 2019, the second phase of the National Integrated Circuit Industry Fund was established. There are three main investment layouts and planning directions: first, support leading companies to grow bigger and stronger; second, form industry clusters, develop in group and develop overseas; third, continue to promote the downstream application of domestic equipment and materials.
In terms of semiconductor equipment, the first phase of the fund mainly completes the industrial layout, and the second phase of the fund will maintain high-intensity and continuous support for companies that have been deployed in the fields of etching equipment, thin-film equipment, test equipment, and cleaning equipment, and promote leading companies to become bigger, forming a series of complete sets of equipment products. Accelerate the investment layout of key equipment and key components such as lithography stepper and chemical mechanical polishing equipment to ensure the safety of the industrial chain. Give full play to the fund’s advantages in the entire industry chain, continue to promote the coordination of equipment, integrated circuit manufacturing, and packaging and testing companies, strengthen the upstream and downstream integration between the companies invested by the fund, and accelerate the process of equipment from verification to "bulk procurement". Equipment and materials companies strive for more market opportunities. Supervise and urge manufacturing enterprises to increase the proportion of domestic equipment verification and procurement, provide process verification conditions for more domestic equipment and materials, and expand the scale of procurement.
With the strong support of national policies and funds, a number of high-quality domestic semiconductor equipment manufacturers have emerged in China, which have the potential to compete with international giants in the future. The major domestic semiconductor equipment manufacturers include: NAURA, AMEC, JSG, CETC and other unlisted companies as in Table 10.
Table 10.   Comparison of domestic and foreign semiconductor equipment companies (as of January 6, 2021).


 
[1] Tebon Securities. Semiconductor Equipment Industry In-depth Report[R]. 2021.
[2] FORWARD. 2020 China Semiconductor Equipment Market Research Report[R].2020.
[3] SIA. 2020 State of the U.S. Semiconductor Industry[R]. 2020.
[4] Icbank. Current Status, Opportunities and Suggestions of China Semiconductor Equipment. 2020.
[5] ChipInsights. 2019 Top 10 Semiconductor Equipment Manufacturers[R]. 2019.
Article and author information
Publication records
Published: March 31, 2021 (Versions1
References
Journal of Microelectronic Manufacturing