Welcome to Journal of Microelectronic Manufacturing!
Reset
Influence of Parameters in the Design of a Faceted Structure for Incoherent Beam Shaping
Authors: Lihong Liu, Thierry Engel, Huwen Ding et al.
Institution:Institute of Microelectronics, Chinese Academy of Sciences, Beijing
Keywords:Incoherent beam shaping;micro lens array;custom optimization
doi:10.33079/jomm.21040401
Issue 4: 21040401, 2021 | PDF
Research Article
Published: Dec. 31, 2021
Views:610
Abstract: A reflective faceted structure is proposed to reshaping an incoherent light beam into two focalized spots. To obtain the desired irradiance distribution on a detector, custom optimization function ...
New Progress of China’s EDA Industry
Keywords:EDA
doi:10.33079/jomm.21040302
Issue 3, 2021 | PDF
Research Article
Published: Dec. 3, 2021
Views:534
Abstract: China's IC industry has been flourishing in recent years, huge market demand together with government investments are the major driving forces for this development. The status and development momen...
A Novel R2R Control Strategy with Virtual Structure Deployment and Rolling Wave Control Plan
Authors: Chang Xu
Institution:Fujian Jinhua Integrated Circuit Co, ., Ltd, ., Jinjiang, Quanzhou, Fujian
Keywords:R2R;manufacturing;control;circuit design;virtual metrology
doi:10.33079/jomm.21040301
Issue 3, 2021 | PDF
Research Article
Published: Sept. 18, 2021
Views:915
Abstract: This paper presents an innovative R2R (run to run) control strategy. This novel approach has made use of circuit design structure through virtually put up the structure before reach the actual stru...
Patterning with Organized Molecules
Authors: Mark Neisser
Institution:Tan Kah Kee Innovation Laboratory
Keywords:Stochastics;Self-assembly;overlay;edge placement error;self-organizing;DNA origami;bottle brush polymers
doi:10.33079/jomm.21040202
Issue 2: 21040202, 2021 | PDF
Research Article
Published: Aug. 9, 2021
Views:1079
Abstract: Decades of progress in the semiconductor industry has led to lithographically printed dimensions that are small enough that the positions of individual molecules and the stochastic variation in the...
New Progress of China's Integrated Circuit Design Industry
Keywords:IC industry; Integrated Circuit Design Market
doi:10.33079/jomm.21040203
Issue 2: 21040203, 2021 | PDF
Research Article
Published: June 30, 2021
Views:1291
Abstract: China's IC industry has been flourishing in recent years, huge market demand together with government investments are the major driving forces for this development. The status and development momen...
Issue 1: 21040103, 2021 | PDF
Research Article
Published: March 31, 2021
Views:849
Abstract: China's IC industry has been flourishing in recent years, huge market demand together with government investments are the major driving forces for this development. The status and development momen...
Recent Advances in Organic-inorganic Hybrid Photoresists
Authors: Zhihao Wang, Xindi Yao, Huiwen An et al.
Institution:Key Laboratory of Photochemical Conversion and Optoelectronic Materials, Technical Institute of Physics and Chemistry, Chinese Academy of Sciences, China
Keywords:Organic-inorganic hybrid photoresist;EUV lithography;nanocluster;nanoparticle;organometallic complex
doi:10.33079/jomm.21040101
Issue 1: 21040101, 2021 | PDF
Research Article
Published: March 28, 2021
Views:1166
Abstract: Photoresists are radiation-sensitive materials used for forming patterns to build up IC devices. To date, most photoresists have been based on organic polymers, which have been dominating the semic...
Fast and Robust DCNN Based Lithography SEM Image Contour Extraction Models
Authors: Tao Zhou, Xuelong Shi, Chen Li et al.
Institution:Shanghai Integrated Circuits R, &, D Center Co, ., Ltd, ., Shanghai
Keywords:SEM images;contour extraction;machine leaning (ML);deep convolution neural network (DCNN);edge placement variation
doi:10.33079/jomm.21040102
Issue 1: 21040102, 2021 | PDF
Research Article
Published: March 25, 2021
Views:869
Abstract: Scanning electron microscope (SEM) metrology is critical in semiconductor manufacturing for patterning process quality assessment and monitoring. Besides feature width and feature-feature space dim...
On the History of the Numerical Methods Solving the Drift Diffusion Model
Authors: Bernd Meinerzhagen
Institution:Technical University Braunschweig
doi:10.33079/jomm.20030403
Issue 4: 20030403, 2020 | PDF
Research Article
Published: Dec. 30, 2020
Views:1744
Abstract: In 1964 Hermann Gummel published the first numerical solution method for the one-dimensional Drift Diffusion model. In his seminal paper [1] already the nonlinear iteration method and th...
Guest Editorial: Special Issue on CAD Technologies
Authors: Shiuh-Wuu Lee
doi:10.33079/jomm.20030401
Issue 4: 20030401, 2020 | PDF
Research Article
Published: Dec. 30, 2020
Views:1710