Research Article Versions 2 Vol 4 (3) 2021
Download
New Progress of China’s EDA Industry
: 2021 - 10 - 01
: 2021 - 12 - 03
: 2021 - 12 - 03
731 0 0
Abstract & Keywords
Abstract: China's IC industry has been flourishing in recent years, huge market demand together with government investments are the major driving forces for this development. The status and development momentum of the Chinese IC industry also attracted wide interest and attention of international counterparts. A group of domestic IC experts are invited by the JoMM to write a series of articles about China's IC industry, including the history, current status, development, and related government policies. Information in these articles is all from public data from recent years. The purpose of these articles is to enhance mutual understanding between the Chinese domestic IC industry and international IC ecosystem.
Keywords: EDA
1.   Global Development Analysis of EDA Industry
1.1.   EDA Industry Global Industry Analysis
As a basic tool for integrated circuit design, EDA plays an important role in the continuous development of large-scale integrated circuit. According to statistics, the global EDA industry market reached 11.5 billion dollars by 2020, 9.25% year-on-year. Figure 1 shows the scale trend of the global EDA market from 2015 to 2020. In terms of revenue regional structure, America is the largest region, followed by Asia-Pacific (excluding Japan). According to statistics, U.S. EDA market sales revenue in 2020 is close to $4.9 billion, accounting for 43% of the total EDA revenue; the Asia-Pacific region (excluding Japan) accounts for 35% of total, with the most important markets being Chinese mainland and Taiwan province; revenues in Europe, the Middle East and North Africa account for about 14 percent of total revenue. The proportion distribution is shown in Figure 2.


 
Figure1. 2015-2020 global EDA market scale.


Figure 2.   2020 share of global EDA market revenue.
The global EDA market is dominated by Synopsys, Cadence and Mentor Graphic, with an estimated market share of more than 60 percent. For product and service market, Synopsys and Cadence, these two are relatively competitive, covering the entire process of electronic design. Mentor has advantages in the PCB (printed circuit board) design tools. Other vendors, such as ANSYS, PDF SOLUTIONS, SILVACO, Empyrean Technology, most of which are on the individual tool, so it is difficult to compete with the dominating three vendors.
1.2.   Opportunities in the EDA Industry
From the market point of view, with the explosion of demand in automotive, industrial, medical, educational and other applications, the rapid development of digital economy for the integrated circuit industry to provide a very broad market and the most abundant application scenarios. EDA tools rely on theoretical models to implement design simulation, which requires mutual verification of theoretical models and process results. With the continuous development of the process of integrated circuit industry, EDA suppliers, as a key link in the field of integrated circuit segmentation, have also gained further development opportunities. When foundry develops a new process, EDA tool software vendors need to obtain data of the new process in the foundry and develop a new version of the software based on process data. Therefore, in order to support the most advanced process nodes, EDA tool software must work closely with the foundry to develop corresponding algorithms and models according to the process characteristics of the foundry, in order to achieve their own product and technological progress. Under the current global situation, the potential risk of anti-globalization is increasing, the development of domestic industrial software has unprecedented strategic significance.
2.   EDA Industry Domestic Development Analysis
The development of the domestic EDA industry has undergone up and down, and has entered a stage of rapid development after 2018. In 1994-2008, although the industry was fully suppressed by overseas manufacturers, the seeds of domestic EDA development are still retained.
2.1.   Domestic EDA Industry Analysis
With the background of the continued development of the global integrated circuit, EDA industry and the high growth of China's integrated circuit industry, China's EDA industry experienced good growth in 2018-2020, and the market size of China's EDA products has increased year by year from 2018 to 2020. As shown in Figure 3, China's EDA product market grew by 19.92% to 6.62 billion yuan in 2020. Compared with the global EDA product market size, China's EDA product market size in the global EDA market size is still relatively small.


Figure 3.   2018-2020 market size of EDA products in China (100 million Yuan).
Figure 4 shows the number of EDA enterprises in China from 2010 to 2020, and the number of EDA enterprises in China reached 28 by the end of 2020. Since 2014, 3-5 new EDA companies have been established each year, a rapid increase of market participants.


Figure 4.   2010-2020 statistics on the number of EDA enterprises in China.
The major enterprises in the domestic EDA industry are Primarius, Empyrean Technology, Semitronix, SMIT Group and Xpeedic. Primarius is primarily engaged in EDA tool software development, sales and related services for integrated circuit design and manufacturing. Empyrean Technology’s main products include analog circuit design full-process EDA tool system, digital circuit design EDA tool, panel display circuit design full-process EDA tool, system and wafer manufacturing EDA tool, and other EDA tool software. Founded in August 2003, Semitronix is a supplier of integrated circuit EDA tool software and wafer-level electrical test equipment, focusing on chip yield improvement and electrical testing rapid monitoring technology. SMIT Group, was founded in January 2002, and its business mainly covers security chip design and application, integrated circuit electronic design automation system research and development and application, FPGA rapid prototype verification and simulation system research and development and application, as well as third generation semiconductor product development and production. Founded in 2019, Xpeedic's business covers IC, package-to-system, industry-wide simulation EDA solutions, dedicated to enabling and accelerating the design of the next generation of high-speed high-frequency intelligent electronics. Xpeedic was formerly known as Suzhou Xinhe Electronics Technology.
From the point of view of the industry attention, before 2018, it is relatively low, and market investment and financing are relatively flat. However, after the start of the trade war, the EDA industry became the focus, and funds began to actively flow in. Figure 5 shows the financing situation of EDA enterprises in China from 2010 to 2020, and 9 enterprises have completed 15 financings in 2020. Six companies have completed seven financings in the first two months of 2021. Huawei's Hubble, which is well aware of EDA's importance, has completed investments in four EDA companies. Xingchengcapital has invested in two EDA companies.


Figure 5.   2010-2020 financing situation of EDA enterprises in China.
2.2.   Challenges in the Development of EDA in China
(1) The overall level of development of the domestic integrated circuit industry is relatively low.
At present, the global semiconductor industry is undergoing the third industrial transfer. In this process, market demand, technological innovation, national policies and resource allocation work together to provide emerging markets with opportunities to accelerate development. China's integrated circuit industry is in a period of rapid and vigorous development, but in many sub-sectors there are still short board, and some areas face the risk of supply cut-off.
In the supply chain, high-end semiconductor materials, such as silicon wafers, photoresist, CMP polishing liquid and sputtering target materials, most market share of which is mainly occupied by Europe, the United States, Japan and South Korea. In chip design area, EDA tool software has long been monopolized by American enterprises. In chip manufacturing, the production of ion injection, photolithography, film deposition, film heat treatment and other key equipment is also monopolized by the United States, Netherland, Japan and other countries. EDA tool software, as an important part of the integrated circuit industry, its overall development is restricted by the relative weakness of China's integrated circuit industry as a whole. Foreign EDA enterprises benefit from their developed integrated circuit industry environment, ability to participate in or follow major technological changes in a timely manner, so that they can continue to maintain the dominant position in the field of EDA.
(2) There is a relative shortage of professionals in the EDA field.
EDA software industry has high demand for professionals. The accelerated development of the new generation of integrated circuit technology has increased the demand for talents in software development, integrated circuit design and artificial intelligence technology. Especially, EDA tool software products and related services need a variety of types of enterprises continously developing and improving products and technologies according to customer needs.
In recent years, the country encourages and supports EDA industry, however professionals are still relatively scarce, especially for high-end talent, which constrains the industry development.
(3) The user habit formed by international advanced products makes the switching cost of client products high.
A large number of integrated circuit design and manufacturing users’ long term usage of Synopsys, Cadence Electronics and other EDA field of traditional advantages of enterprise products have formed a certain degree of user habit, which makes the switching of EDA tool products relately costly. Moreover the customer's inherent understanding of doesmtic and foreign EDA products has also contributed to the resistance of replacement of foreign EDA tools.
3.   The Future Development and Prospects
At present, chip design companies still face the following three problems in design efficiency:
(1) Layout design. In the field of chip design, after the completion of circuit design, a lot of time needs to be spent to do layout design to generate GDS (Graphic Data System), so in the autonomous link still has a lot of room for improvement.
(2) Design reuse problems. EDA development has not been able to establish the industry's common electronic parts circuit standard format. Due to the vast majority of modules from scratch design, it is difficult to achieve design reuse, so that integrated circuit engineers in front-end work often face cumbersome and repetitive work, making wafer manufacturing period difficult to shorten. Solving these problems is the main target of EDA development. Some technologies in the current industry development deserve attention.
In the post-Moore era, Chiplet integrates chips of different process nodes and materials into a system chip through advanced integrated technologies such as 3D integration technology, enabling a new form of IP reuse. This process requires full support from EDA tools.
DARPA (Defense Advanced Research Projects Agency), the leading U.S. government research organization, is funded as a bellwether for new trends in the industry. At the end of July 2018, DARPA announced a $1.5 billion investment to assist the semiconductor industry in its long-term growth. This research program includes the design of more resilient and updated wafer architectures to meet the needs of new technologies such as artificial intelligence (AI), high-speed computing and more. Tom Beckley, Cadence's senior vice president, said more machine learning will continue to be added to the Virtuoso tool, using artificial intelligence to help automate layout generation. This also provides new ideas for the development of EDA in China. In addition, IDEA and POSH are funded by DARPA, and the ultimate goal of their research is to achieve fully automated chip design iterations within 24 hours. IDEA does a fully automated chip layout generator that enables users with limited electronic design expertise to physically design electronic hardware in less than 24 hours. POSH is aimed at open source hardware projects, hoping to develop a sustainable open source hardware ecosystem, as well as the corresponding verification tools, while providing an open source hardware base module library, so that everyone can freely call modules in the module library, to avoid the problem of repeating wheel-building in the hardware domain.
Synopsys announced in early September 2018 that it was launching an AI-based, new form verification application, the Regression Mode Accelerator. Performance verification speeds during design and validation cycles can be increased by up to 10 times to validate complex chip system (SoC) designs. In addition, advanced machine learning technology is incorporated into its design platform and innovative Fusion Technology.
Acknowledgments
Article and author information
Publication records
Published: Dec. 12, 2021 (Versions1
Updated: Dec. 3, 2021 (Versions2
References
Journal of Microelectronic Manufacturing