Welcome to Journal of Microelectronic Manufacturing!
2019
Volume 2, Issue 1
  • Published: March 29, 2019
  • Supervised by:None
  • Hosted by:None
  • Published by:JoMM Editorial Office

Research Article top

Issue 1: 19020105, 2019 | PDF
Research Article
Published: March 29, 2019
Views:12416
Laser-Driven Light Sources for Nanometrology Applications
Authors: Huiling Zhu, Paul Blackborow
Institution:Energetiq Technology, Inc, ., 7, Constitution Way, Woburn
Keywords:laser-driven;brightness;broadband;deep-UV;metrology;LDLS
doi:10.33079/jomm.19020104
Issue 1: 19020104, 2019 | PDF
Research Article
Published: March 27, 2019
Views:2370
Abstract: Laser-driven light sources (LDLS) have ultrahigh-brightness and broad wavelength range. They are ideal radiation sources for optical metrology tools for advanced process control in semiconductor ma...
Nitridation-Etch of Silicon Oxide in Fluorocarbon/Nitrogen Plasma: A Computational Study
Authors: Du Zhang, Yu-Hao Tsai, Hojin Kim et al.
Institution:TEL Technology Center, America, LLC, 255, Fuller Road, Suite, 214, Albany
Keywords:3D-NAND;oxide;nitride;oxynitride;plasma etch;molecular dynamics;quantum chemistry
doi:10.33079/jomm.19020103
Issue 1: 19020103, 2019 | PDF
Research Article
Published:
Views:4050
Abstract: The continually increasing number of silicon oxide (SiO2) and nitride (Si3N4) layers in 3D-NAND offers both motivations and challenges for developing all-in-one pla...
Hamamatsu’s Products for Optical Inspection, Metrology and Monitoring to Improve Yield and Accuracy for Semiconductor Processes
Authors: Chenghao Xiang, Xusheng Zhou
Institution:Hamamatsu Photonics (China) Co., Ltd., Beijing
Keywords:optical semiconductor inspection/metrology/monitoring solutions;image sensor;light sources;mini-spectrometer;photomultiplier tubes/modules
doi:10.33079/jomm.19020102
Issue 1: 19020102, 2019 | PDF
Research Article
Published:
Views:2829
Abstract: Pursuing small critical dimensions (i.e. 14 nm or below) and high integration bring us lots of physical defects causing low yield and functionality failures for foundries. Under this circumstance, ...
The Variables and Invariants in the Evolution of Logic Optical Lithography Process
Authors: Qiang Wu
Institution:Shanghai IC R, &, D Center, Shanghai
Keywords:image projection photolithography;imaging contrast;exposure latitude;mask error factor;linewidth uniformity;chemically amplified photoresist;phase shifting mask;optical proximity correction;and photoacid diffusion length
doi:10.33079/jomm.19020101
Issue 1: 19020101, 2019 | PDF
Research Article
Published: Feb. 20, 2019
Views:3287
Abstract: Photolithography has been a major enabler for the continuous shrink of the semiconductor manufacturing design rules. Throughout the years of the development of the photolithography, many new techno...