Welcome to Journal of Microelectronic Manufacturing!
2018
Volume 1, Issue 2
  • Published: Dec. 27, 2018
  • Supervised by:None
  • Hosted by:None
  • Published by:JoMM Editorial Office

Research Article top

The 2017 IRDS Lithography Roadmap
Authors: Mark Neisser
Institution:Kempur Microelectronics, Beijing
Keywords:lithography roadmap;IRDS;advanced patterning;EUV lithography;directed self-assembly (DSA);Ebeam direct write;Nanoimprint
doi:10.33079/jomm.18010204
Issue 2: 18010204, 2018 | PDF
Research Article
Published: Dec. 27, 2018
Views:6647
Abstract: Technology roadmaps have been a part of the semiconductor industry for many years. The first roadmap was Moore’s law, which started as an empirical observation that competitive forces then turned i...
Hotspot Detection of Semiconductor Lithography Circuits Based on Convolutional Neural Network
Authors: Xingyu Zhou, Youling Yu
Institution:Tongji University, Shanghai
Keywords:lithography;hotspot detection;CNN;deep learning
doi:10.33079/jomm.18010205
Issue 2: 18010205, 2018 | PDF
Research Article
Published: Dec. 27, 2018
Views:2606
Abstract: In the advanced semiconductor lithography manufacturing process, the sub-wavelength lithography gap may cause lithographic error and the difference between the wafer pattern and mask pattern which ...
Variational Level-set Formulation for Lithographic Source and Mask Optimization
Authors: Yijiang Shen, Zhenrong Zhang
Institution:Guangdong University of Technology
Keywords:computational lithography;variational level set;source and mask optimization;coupling image
doi:10.33079/jomm.18010203
Issue 2: 18010203, 2018 | PDF
Research Article
Published: Dec. 20, 2018
Views:2403
Abstract: This paper addresses the contributing factors in lithographic source and mask optimization, namely, the accuracy of the image formation model and the efficiency of the inverse imaging calculations ...
Compressive Sensing Approaches for Lithographic Source and Mask Joint Optimization
Authors: Xu Ma, Zhiqiang Wang, Gonzalo R. Arce
Institution:Key Laboratory of Photoelectronic Imaging Technology and System of Ministry of Education of China, School of Optics and Photonics, Beijing Institute of Technology, China
Keywords:Computational lithography;source mask optimization (SMO);compressive sensing (CS);inverse problem
doi:10.33079/jomm.18010202
Issue 2: 18010202, 2018 | PDF
Research Article
Published: Dec. 13, 2018
Views:2581
Abstract: Source and mask joint optimization (SMO) is a widely used computational lithography method for state-of-the-art optical lithography process to improve the yield of semiconductor wafers. Nowadays, c...
Preparation of Double-sided Nanostructure Based on Soft-nanoimprinting Lithography
Authors: Man Zhang, Qiling Deng, Hui Pang et al.
Institution:Institute of Optics and Electronics, Chinese Academy of Sciences
Keywords:Double-sided nanostructure, elastic substrate, soft-nanoimprinting lithography, metal layer.
doi:10.33079/jomm.18010201
Issue 2: 18010201, 2018 | PDF
Research Article
Published: Dec. 12, 2018
Views:1943
Abstract: Double-sided nanostructure has more excellent properties in high efficiency, high yield, and high capability devices, which becomes the attention spots in nanofabrication technology. We proposed a ...